當下軟件園 / 匯聚當下最新最酷的軟件下載站!
當下軟件園
您的位置: 首頁 > 應用軟件 > 行業(yè)軟件 > Graphics HyperLynx VX2.8 中文破解版
HyperLynx VX Graphics HyperLynx VX2.8 中文破解版 / HyperLynx VX2.8破解版 版本
  • 軟件大小:3.07G
  • 軟件語言:簡體中文
  • 軟件類型:國產(chǎn)軟件
  • 軟件授權:免費軟件
  • 更新時間:2021-01-06
  • 軟件類別:行業(yè)軟件
  • 軟件官網(wǎng):http://www.hanheng168.com
  • 應用平臺:Win2003,WinXP,Vista,Win7,Win8

網(wǎng)友評分: 分數(shù) 4.4

軟件非常好(22% 軟件不好用(78%

  HyperLynx VX是一款非常專業(yè)的電子電路仿真驗證軟件。它包括hyperlynx advanced solvers、hyperlynx si pi thermal、hyperlynx DRC等多個模塊,幫助設計師識別和解決關鍵設計問題,縮短設計周期,同時提高產(chǎn)品可靠性,該版本內(nèi)置破解補丁,用戶打上補丁之后,即可永久免費使用。

HyperLynx VX2.8破解版

【功能特點】

  1、HyperLynx SI(HyperLynx信號完整性)

  HyperLynx信號完整性(SI)可在PCB系統(tǒng)設計中生成快速,簡便和準確的信號完整性分析。HyperLynx SI可幫助工程師有效管理規(guī)則探索,定義和驗證,確保完全實現(xiàn)工程意圖。該軟件與原理圖設計和最終布局驗證緊密集成。它可以快速準確地解決典型的高速設計效果,包括過沖/下沖,振鈴,串擾和時序。

  2、HyperLynx PI(HyperLynx電源完整性)

  確定可能干擾電路板設計邏輯的潛在電源完整性分布問題,并使用HyperLynx?PI在易于使用的“假設”環(huán)境中調(diào)查和驗證解決方案。這種直觀的工具使您的設計團隊的任何成員都能夠快速準確地分析電源完整性,而無需大多數(shù)功率分析產(chǎn)品通常陡峭的學習曲線。設計團隊可以訪問這些復雜的電源完整性功能,這將有助于公司減少原型設計,縮短產(chǎn)品上市時間,并允許工程師開發(fā)更可靠的產(chǎn)品。

  3、HyperLynx設計規(guī)則檢查(DRC)

  HyperLynx設計規(guī)則檢查(DRC)為所有PCB布局人員,硬件工程師和SI / PI / EMC專家提供快速,全面的電氣設計驗證,無論其布局工具或專業(yè)水平如何。其自動化方法可以迭代使用,以識別導致信號完整性,電源完整性和EMI / EMC問題的設計違規(guī),消除手動檢查和PCB循環(huán)瓶頸。

  優(yōu)于PCB布局DRC,HyperLynx設計規(guī)則檢查(DRC)有多種配置可供選擇,包括免費和低成本的“Gold”版本,包含開箱即用的規(guī)則,以及復雜的“開發(fā)人員”版本包括訪問高級幾何引擎,編寫自定義DRC的能力,對VBScript和JavaScript的支持以及內(nèi)置腳本調(diào)試環(huán)境。

  4、HyperLynx全波解算器

  HyperLynx 全波解算器提供了前所未有的速度和能力,通過加速邊界元技術,同時保留金標準麥克斯韋準確性。即使在最復雜的結構上,也能實現(xiàn)更高的精度和更少的重新旋轉。設計人員可以利用高速,精確度和容量來實現(xiàn)信號完整性,電源完整性和EMI問題 - 所有這些都來自通用接口。全波解算器是從頭開始構建的,旨在利用多核和混合架構,并利用最佳的快速求解器技術在單核或多核上實現(xiàn)快速仿真。

  可以執(zhí)行功率感知SI跟蹤模型提取,以及系統(tǒng)(封裝/ PCB)級別的DC和AC電源完整性分析。設計人員可以從功率傳輸網(wǎng)絡阻抗曲線,電容器環(huán)路電感以及用于時域仿真的組合信號和功率寬帶S參數(shù)提取中受益 - 所有這些都在一個通用的,易于使用的界面中。

  5、HyperLynx Fast 3D Solver(HyperLynx快速3D解算器)

  HyperLynx Fast 3D Solver能夠與多處理的高效化,全包模式創(chuàng)造更快的周轉時間。它非常適合電源完整性,低頻SSN / SSO和完整系統(tǒng)SPICE模型生成,同時考慮趨膚效應對電阻和電感的影響。快速求解器技術可實現(xiàn)單核和多核的快速仿真。它比其他同等解決方案快20x-100x,并保持全3D金標準EMQS精度。直觀的GUI使用戶能夠使用最新的系統(tǒng)級封裝(SiP),封裝上封裝(PoP),堆疊芯片和多芯片模塊(MCM)方案輕松提取精確模型,并且邊界上的額外工作量極少條件和端口定義。

  無論具體應用是與高性能微處理器設計,低成本ASIC和系統(tǒng)設計,電源完整性,信號完整性還是同步開關噪聲相關,所有設計類型都可以使用有效的解決方案。

  6、HyperLynx Thermal

  HyperLynx Thermal可分析放置,部分布線或完全布線的PCB上的板級散熱條件。它模擬傳導,對流和輻射,并產(chǎn)生溫度曲線,梯度和過溫圖,在設計過程早期解決電路板和元件過熱。通過假設情景調(diào)整設計,工程師和PCB設計人員可以將平均故障間隔時間縮短50%,從而提高產(chǎn)品質(zhì)量并最終降低保修成本。

HyperLynx VX2.8破解版

【破解教程】

  1、下載解壓,得到mentor graphics hyperlynx vx.2.8中文原程序ios文件和授權激活工具;

  2、為虛擬光盤加載鏡像文件或winrar解壓,雙擊setup.exe開始安裝,右上角選擇中文,點擊安裝產(chǎn)品;

  3、勾選要安裝的組件,包括hyperlynx advanced solvers、hyperlynx si pi thermal、hyperlynx DRC;

  4、耐心等待軟件安裝完成;

  5、成功安裝后,退出,不要安裝許可證服務器;

  6、接下來開始破解,將鏡像包內(nèi)的EFA文件夾從鏡像內(nèi)復制出來,比如放在桌面上,然后管理員身份運行run.bat文件,即可生成許可證文件LICENSE.txt;

  7、將許可證文件LICENSE.txt復制到一個安裝不被刪除的目錄,建議用安裝目錄【C:\MentorGraphics】

  8、接下來設置系統(tǒng)環(huán)境,右鍵我的電腦—>屬性—>高級系統(tǒng)設置—>環(huán)境變量,創(chuàng)建系統(tǒng)環(huán)境變量。

  變量名:MGLS_LICENSE_FILE

  變量值:C:\MentorGraphics\LICENSE.txt

  9、至此,軟件破解完成,所有功能可用,以上就是mentor graphics hyperlynx vx.2.8中文破解版的安裝教程,希望對你有幫助。

HyperLynx VX2.8破解版

【更新內(nèi)容】

  SI/PI

  1. 增強了3D 區(qū)域的自動創(chuàng)建功能,支持創(chuàng)建可重復使用的耦合3D區(qū)域,能夠準確有效地捕獲網(wǎng)絡之間的3D串擾。

  2. 支持從不是同一個參考位號的網(wǎng)絡創(chuàng)建差分對,從而可以更容易分析具有單個SMA連接的SERDES測試板。

  3. 在時域仿真中對S參數(shù)的性能增強,非常有助于power-aware的信號完整性分析。

  4. 在DC Drop分析中,增加了對VRM感測線的支持。

  DRC

  1. 新增支持以下Allegro 的PCB信息到HyperLynx DRC中:

  .xnet name

  .diff pair name

  Constraint class

  Back drill info

  Stack up material properties

  Wire bonds

  Rigid-flex multi zone

  2. 提高了新的腳本引擎性能,消除了對JavaScript的限制,并增加了對Python的支持。

  新增如下三條設計規(guī)則:

  1) Diff pair via check

  2) PDN overlap check

  3)  PDN width and resistance check

  Full Wave Solver

  1. 改進了各種表格和對話框的可用性

  2. 增強了模型可視化中的透視效果

  3. 在結果可視化中改進了比例和軸控制效果

  4. 支持基于模板的電纜橫截面參數(shù)掃描

  5. 提高了3D解算器的計算速度

  6. 支持solder mask layer建模

軟件特別說明

標簽: HyperLynx VX 電路仿真

其他版本下載

更多(51)>電路仿真軟件

電路仿真軟件是通過數(shù)字構建模型來對電子電路的真實行為進行模擬的工程類軟件,這類軟件幫助廣大工程人員更便捷的進行電路分析,下面小編就為大家提供一些好用的仿真電路模擬器。 查看 >>
網(wǎng)友評論
回頂部 去下載

關于本站|下載幫助|下載聲明|軟件發(fā)布|聯(lián)系我們

Copyright ? 2005-2024 www.hanheng168.com.All rights reserved.

浙ICP備06019006號-1 浙公網(wǎng)安備33038102330474號