當(dāng)下軟件園 / 匯聚當(dāng)下最新最酷的軟件下載站!
當(dāng)下軟件園
您的位置: 首頁(yè) > 應(yīng)用軟件 > 編程工具 > Keil MDK4.72破解版 最新免費(fèi)版
Keil MDK Keil MDK4.72破解版 最新免費(fèi)版 / MDK4.72破解版下載 版本
  • 軟件大?。?/span>453.14M
  • 軟件語(yǔ)言:簡(jiǎn)體中文
  • 軟件類型:國(guó)產(chǎn)軟件
  • 軟件授權(quán):免費(fèi)軟件
  • 更新時(shí)間:2019-11-07
  • 軟件類別:編程工具
  • 軟件官網(wǎng):http://www.hanheng168.com
  • 應(yīng)用平臺(tái):Win2003,WinXP,Vista,Win7,Win8

網(wǎng)友評(píng)分: 分?jǐn)?shù) 9.4

軟件非常好(94% 軟件不好用(6%

  Keil MDK4.72破解版是一款功能強(qiáng)大的單片機(jī)編程開發(fā)軟件,軟件具有強(qiáng)大的代碼編輯功能,可以根據(jù)程序員輸入的函數(shù)類型自動(dòng)顯示您需要的代碼,您只需輸入對(duì)應(yīng)的函數(shù)就可以輕松得到正確的匯編方案。

Keil MDK4.72破解版

【功能特點(diǎn)】

  1、完美支持Cortex-M、Cortex-R4、ARM7和ARM9系列器件。

  2、行業(yè)領(lǐng)先的ARM C/C++編譯工具鏈

  3、確定的Keil RTX ,小封裝實(shí)時(shí)操作系統(tǒng)(帶源碼)

  4、μVision4 IDE集成開發(fā)環(huán)境,調(diào)試器和仿真環(huán)境

  5、TCP/IP網(wǎng)絡(luò)套件提供多種的協(xié)議和各種應(yīng)用

  6、提供帶標(biāo)準(zhǔn)驅(qū)動(dòng)類的USB 設(shè)備和USB 主機(jī)棧

  7、為帶圖形用戶接口的嵌入式系統(tǒng)提供了完善的GUI庫(kù)支持

  8、ULINKpro可實(shí)時(shí)分析運(yùn)行中的應(yīng)用程序,且能記錄Cortex-M指令的每一次執(zhí)行

  9、關(guān)于程序運(yùn)行的完整代碼覆蓋率信息

  10、執(zhí)行分析工具和性能分析器可使程序得到最優(yōu)化

  11、大量的項(xiàng)目例程幫助你快速熟悉MDK-ARM強(qiáng)大的內(nèi)置特征

  12、符合CMSIS (Cortex微控制器軟件接口標(biāo)準(zhǔn))

Keil MDK4.72破解版

【特色介紹】

  1、編輯器提供了配置為滿足大多數(shù)開發(fā)需求的標(biāo)準(zhǔn)默認(rèn)設(shè)置。因此,編輯器集成了語(yǔ)法著色的預(yù)定義設(shè)置,與文件類型相關(guān)聯(lián)的關(guān)鍵字,各種命令的快捷鍵以及可用于編程的模板??梢孕薷呐渲迷O(shè)置以適應(yīng)個(gè)人需要:

  2、代碼完成和動(dòng)態(tài)語(yǔ)法檢查在編輯 - 配置 - 文本完成對(duì)話框中設(shè)置。

  3、常規(guī)編輯器設(shè)置在編輯 - 配置 - 編輯器對(duì)話框中配置。

  4、在編輯 - 配置 - 快捷鍵對(duì)話框中為一組菜單命令定義短鍵。

  5、單個(gè)文件特征在對(duì)話框?qū)傩灾性O(shè)置。

  6、文件類型與項(xiàng)目 - 管理 - 組件,環(huán)境,圖書 - 文件夾擴(kuò)展對(duì)話框中的文件擴(kuò)展名相關(guān)聯(lián)。

  7、在編輯 - 配置 - 顏色和字體對(duì)話框中,為各種文件類型和窗口設(shè)置顏色和字體。

  8、關(guān)鍵字被修改并與編輯 - 配置 - 用戶關(guān)鍵字對(duì)話框中的文件類型相關(guān)聯(lián)。

  9、與各種文件類型相關(guān)聯(lián)的模板在對(duì)話框Edit - Configuration - Text Completion中定義

軟件特別說明

標(biāo)簽: Keil MDK 單片機(jī)編程

其他版本下載
相關(guān)文章
網(wǎng)友評(píng)論
回頂部 去下載

關(guān)于本站|下載幫助|下載聲明|軟件發(fā)布|聯(lián)系我們

Copyright ? 2005-2024 www.hanheng168.com.All rights reserved.

浙ICP備06019006號(hào)-1 浙公網(wǎng)安備33038102330474號(hào)