當(dāng)下軟件園 / 匯聚當(dāng)下最新最酷的軟件下載站!
當(dāng)下軟件園
您的位置: 首頁 > 應(yīng)用軟件 > 編程工具 > Delta WPLSoft(臺達(dá)PLC制作軟件) V2.46 免費版
Delta WPLSoft Delta WPLSoft(臺達(dá)PLC制作軟件) V2.46 免費版 / WPLSoft V2.46 版本
  • 軟件大?。?/span>75.16M
  • 軟件語言:簡體中文
  • 軟件類型:國產(chǎn)軟件
  • 軟件授權(quán):免費軟件
  • 更新時間:2019-02-05
  • 軟件類別:編程工具
  • 軟件官網(wǎng):http://www.hanheng168.com
  • 應(yīng)用平臺:Win2003,WinXP,Vista,Win7,Win8

網(wǎng)友評分: 分?jǐn)?shù) 5.6

軟件非常好(82% 軟件不好用(18%

  臺達(dá)PLC制作軟件是一款由臺達(dá)電子推出的PLC程序編程軟件,此軟件能夠幫助用戶在沒有真實PLC的情況下,在電腦上模擬運行PLC程序的執(zhí)行情況,是編程人士的必備軟件。

  WPLSoft(臺達(dá)PLC編程軟件)除了一般PLC程序的規(guī)劃及WINDOWS的一般編輯功能,例如:剪切、粘貼、復(fù)制、多窗口等,還提供了多種中/英文批注編程及其它便利功能,例如:寄存器編程、設(shè)置、文件讀取、存盤及各接點圖標(biāo)監(jiān)測與設(shè)置等等功能。

臺達(dá)PLC制作軟件

【使用介紹】

  1、下載軟件壓縮包,根據(jù)提示安裝即可,完以后,雙擊打開桌面上的WPLsoft的快捷方式,打開后如下圖所示:

  2、打開菜單欄中“文件--新建”,會彈出“機(jī)種設(shè)置”的窗口,在機(jī)種設(shè)置窗口中可以指定程序標(biāo)題、PLC 機(jī)種設(shè)置、程序容量(請參考所使用 PLC 主機(jī)之機(jī)種名稱及程序容量規(guī)格)及文件名稱等有關(guān)程序的初始設(shè)置,如下圖所示:

  3、當(dāng)完成上述設(shè)置后,便會出現(xiàn)二個子窗口:一為梯形圖模式窗口,另一為指令模式窗口。用戶可依熟悉的設(shè)計習(xí)慣選擇編程模式,來編程 PLC 程序。

  4、編寫完一個簡單的程序后,依次點擊菜單欄中的“編譯--梯形圖=>指令”,WPLSoft就會自動編譯程序,并在沒有錯誤編譯成功的情況下,在主窗口的最下方給出提示。如果程序有錯誤,軟件則會提示編譯失敗,并給出錯誤大的位置。

  5、編譯完成后,依次點擊菜單中的“通信--PC<=>PLC”,確定后將程序下載到PLC中,就可以進(jìn)行調(diào)試了。

  6、更多詳細(xì)介紹還可參照軟件包內(nèi)“WPLSoft使用說明.pdf”。

臺達(dá)PLC制作軟件

【特別提示】

  1、仿真器僅供用戶在沒有PLC的狀況下測試程序,結(jié)果與實際PLC執(zhí)行結(jié)果并不全然相同,程序要實際上機(jī)前請務(wù)必先在實機(jī)上測試。

  2、仿真器并不支持程序集中所以的指令,不支持的指令如下:WDT、REF、REFF、MTR、DHSCS、DHSCR、DHSZ、SPD、 PLSY、PWM、PLSR、IST、TKY、HKY、DSW、SEGL、ARWS、ASC、FROM、TO、RS、PRUN、VRRD、VRSC、 ABS、PID、MODRD、MODWR、FWD、REV、STOP、RDST、RSTEF、SWRD、DELAY、GPWM、FTC、CVM、 MEMR、MEMW、MODRW、PWD、RTMU、RTMD、RAND、DABSR、ZRN、PLSV、DRVI、DRVA、DPPMR、DPPMA、 DCIMR、DCIMA、DPTPO、HST、DCLLM。

臺達(dá)PLC制作軟件

【系統(tǒng)需求】

  操作系統(tǒng):Windows 98/2000/NT/ME/XP/VISTA/Win7

  CPU:Pentium 100以上機(jī)種

  內(nèi)存:128MB以上(建議使用256MB以上)

  硬盤容量:至少500MB以上空間

  顯示器:分辨率:640×480 ,16色以上(建議將螢?zāi)粎^(qū)域設(shè)置為800×600個像素)

  RS-232端口:至少需有一個RS-232端口可與PLC連接

軟件特別說明

標(biāo)簽: 臺達(dá)PLC PLC編程

其他版本下載
網(wǎng)友評論
回頂部 去下載

關(guān)于本站|下載幫助|下載聲明|軟件發(fā)布|聯(lián)系我們

Copyright ? 2005-2024 www.hanheng168.com.All rights reserved.

浙ICP備06019006號-1 浙公網(wǎng)安備33038102330474號